Giter Site home page Giter Site logo

andrsmllr's Projects

crappy_max_ii_devbrd icon crappy_max_ii_devbrd

Play and learn some valuable lessons with a generic Ebay/China CPLD board featuring an Altera/Intel MAX II CPLD. The hardware is pretty crappy (just mine?) so it won't be much fun.

de0_atlas_soc_devbrd icon de0_atlas_soc_devbrd

Play and learn with the Terasic DE0-Atlas/Nano-SoC Kit featuring a Altera/Intel Cyclone V 5CSEMA4U23C6N FPGA with integrated dual-core ARM Cortex-A9.

dffram icon dffram

Standard Cell Library based Memory Compiler using FF/Latch cells

easysim icon easysim

VHDL package with procedures to perform simple AXI read and write transactions during simulation.

esp8266mod_devbrd icon esp8266mod_devbrd

Play and learn with the AI-Thinker ESP8266MOD board featuring an Espressif ESP8266 wi-fi chip.

esteemo icon esteemo

An educational micro-controller board for the electrotechnically challenged.

hifive1_fe310_devbrd icon hifive1_fe310_devbrd

Play and learn with the SiFive HiFive1 board featuring a FE310-G000 SoC integrating SiFive's E31 RISC-V core.

icebreaker_devbrd icon icebreaker_devbrd

Play and learn with the Icebreaker development board featuring a Lattice iCE40 UP5K FPGA and a completely open-source toolchain..

latex icon latex

My personal LaTex documents (templates)

longan_nano_devbrd icon longan_nano_devbrd

Play and learn with the Longan Nano development board featuring a GigaDevices GD32VF103 core which implements the RISC-V ISA (RV32IMAC).

myhdl icon myhdl

My personal MyHDL projects.

neo430 icon neo430

:computer: A damn small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.

neorv32 icon neorv32

:desktop_computer: A size-optimized, customizable and highly extensible MCU-class 32-bit RISC-V soft-core CPU and microcontroller-like SoC written in platform-independent VHDL.

osvvm icon osvvm

OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.