Giter Site home page Giter Site logo

alexluwh / fpga-toolchain Goto Github PK

View Code? Open in Web Editor NEW

This project forked from yosyshq/fpga-toolchain

0.0 0.0 0.0 137.96 MB

Multi-platform nightly builds of open source FPGA tools

License: GNU General Public License v3.0

Shell 39.75% Python 0.14% C 60.11%

fpga-toolchain's Introduction

FPGA-Toolchain

Build Status Discord

!!This Project is No Longer Maintained!!

Builds have stopped running, past releases will remain archived here for anyone that was depending on them in their workflow.

I recommend taking a look at oss-cad-suite-build for a similar package which is actively maintained by YosysHQ.

A more detailed list of various alternative packagings of these tools with various advantages and disadvantages can be found here.

Introduction

Multi-platform nightly builds of open source FPGA tools.

Currently included:

  • Yosys: RTL synthesis with extensive Verilog 2005 support
  • GHDL Yosys Plugin: experimental VHDL synthesis, built in to Yosys for your convenience!
  • GHDL: CLI tool supporting the Yosys plugin
  • SymbiYosys: Yosys-based formal hardware verification
  • Boolector: Engine for SymbiYosys
  • Yices2: Engine for SymbiYosys
  • Z3: Engine for SymbiYosys
  • Project Trellis: Tools for working with Lattice ECP5 bitstreams
  • Project IceStorm: Tools for working with Lattice ICE40 bitstreams
  • nextpnr: Timing-driven place and route for both ICE40 and ECP5 architectures
  • dfu-util: Device Firmware Upgrade Utilities
  • ecpprog: A basic driver for FTDI based JTAG probes, to program ECP5 FPGAs
  • openFPGALoader: Universal utility for programming FPGA

These tools are under active development (as are these build scripts), so please be prepared for things to break from time to time. In most cases you should be able to roll back to an older version while you wait for a fix.

Builds run at 0400 UTC daily from the master branch of each project.

Installation

  1. Download an archive matching your OS from the releases page.
  2. Extract the archive to a location of your choice
  3. Add the bin folder to your PATH:
MacOS and Linux: export PATH="<extracted_location>/fpga-toolchain/bin:$PATH"
Windows Powershell: $ENV:PATH = "<extracted_location>\fpga-toolchain\bin;" + $ENV:PATH
Windows cmd.exe: PATH=<extracted_location>\fpga-toolchain\bin;%PATH%

Windows users that prefer to use WSL can download fpga-toolchain-linux* to build under WSL and then use the native tools from fpga-toolchain-progtools-windows* to program their boards (since USB devices are not currently accessible in the WSL environment).

These builds should work for macOS 10.10 or newer - please report a bug if you have issues!

If you see errors about missing libraries (.so/.dll/.dylib) please report them in an issue here.

Using GHDL

If you would like to use the experimental GHDL Yosys plugin for VHDL on Linux or MacOS, you will need to set the GHDL_PREFIX environment variable. e.g. export GHDL_PREFIX=<install_dir>/fpga-toolchain/lib/ghdl. On Windows this is not necessary.

If you are using an existing Makefile set up for ghdl-yosys-plugin and see ERROR: This version of yosys is built without plugin support you probably need to remove -m ghdl from your yosys parameters. This is because the plugin is typically loaded from a separate file but it is provided built into yosys in this package.

Getting Help

If you run into issues with these tools, please consider reporting an issue to the authors of the tools - we are just compiling them here! If you think your issue relates to the way we have compiled them then it is more appropriate to open a GitHub issue here.

If you aren't sure where to report your issue or don't feel it fits on GitHub, you can also try sending a message in the #yosyshq channel on 1BitSquared's Discord server.

Related Projects

For portable WASM builds of these tools, check out YoWASP. Also check out nMigen for a powerful python-based approach to hardware description.

Credits

This is built on the work done by Sean Cross (xobs) for fomu-toolchain, which was built on the original work by FPGAWars:

Contributing

Contributions are welcome, see DEVELOPMENT.md for guidelines and technical details.

License

Licensed under a GPL v3 and Creative Commons Attribution-ShareAlike 4.0 International License.

fpga-toolchain's People

Contributors

edbordin avatar ed-alertedh avatar vmedea avatar umarcor avatar gatecat avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.