Giter Site home page Giter Site logo

open-neuromorphic / awesome-neuromorphic-hw Goto Github PK

View Code? Open in Web Editor NEW
109.0 6.0 12.0 183 KB

Repository collecting papers about neuromorphic hardware, such as ASIC and FPGA implementations of SNNs and stuff.

License: GNU General Public License v2.0

analog chip digital hardware ic neuromorphic research snn ai dnn

awesome-neuromorphic-hw's Introduction

Awesome Neuromorphic Hardware Awesome

This repo collects papers, docs, codes about neuromorphic hardware for anyone who wants to do research on it. We are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo. Inspired by awesome-model-quantization.

Survey Papers

  • [IEEE-Proc] Training Spiking Neural Networks Using Lessons From Deep Learning, 2023.
  • [IEEE-JETCAS] To Spike or Not To Spike: A Digital Hardware Perspective on Deep Learning Acceleration, 2023.
  • [IEEE-CICC] Spiking Neural Network Integrated Circuits: A Review of Trends and Future Directions, 2022.

Papers

2023

  • [IEEE-JETCAS] Programming Weights to Analog In-Memory Computing Cores by Direct Minimization of the Matrix-Vector Multiplication Error. [asic][imc][memristive][mixed-signal]
  • [IEEE-JETCAS] Multicore Spiking Neuromorphic Chip in 180-nm with ReRAM Synapses and Digital Neurons. [asic][imc][memristive][mixed-signal]
  • [IEEE-JETCAS] Tempo-CIM: A RRAM Compute-in-Memory Neuromorphic Accelerator with Area-Efficient LIF Neuron and Split-Train-Merged-Inference Algorithm for Edge AI Application [asic][imc][memristive]
  • [IEEE-TVLSI] Power-Aware Neuromorphic Architecture With Partial Voltage Scaling 3-D Stacking Synaptic Memory [asic][imc]
  • [IEEE-TED] Neuromorphic Accelerator for Spiking Neural Network Using SOT-MRAM Crossbar Array [mixed-signal][asic][imc][memristive]
  • [ArXiv] DYNAP-SE2: a scalable multi-core dynamic neuromorphic asynchronous spiking neural network processor [mixed-signal][asic][async]
  • [ArXiv] FireFly v2: Advancing Hardware Support for High-Performance Spiking Neural Network with a Spatiotemporal FPGA Accelerator [digital][fgpa]
  • [IEEE-JETCAS] C-DNN V2: Complementary Deep-Neural-Network Processor with Full-Adder/OR-based Reduction Tree and Reconfigurable Spatial Weight Reuse [digital][asic]
  • [IEEE-TVLSI] FireFly: A High-Throughput and Reconfigurable Hardware Accelerator for Spiking Neural Networks. [digital][fpga]
  • [IEEE-ISCAS] OpenSpike: An OpenRAM SNN Accelerator. [digital][asic][open-source][Code]
  • [ArXiv] THOR -- A Neuromorphic Processor with 7.29G TSOP2/mm2Js Energy-Throughput Efficiency. [digital][asic]
  • [IEEE-TCAD] The Implementation and Optimization of Neuromorphic Hardware for Supporting Spiking Neural Networks With MLP and CNN Topologies [digital][fpga]
  • [ArXiv] DeepFire2: A Convolutional Spiking Neural Network Accelerator on FPGAs. [digital][fpga]
  • [IEEE-JSSC] SNPU: An Energy-Efficient Spike Domain Deep-Neural-Network Processor With Two-Step Spike Encoding and Shift-and-Accumulation Unit. [digital][asic]
  • [IEEE-ISSCC] C-DNN: A 24.5-85.8TOPS/W Complementary-Deep-Neural-Network Processor with Heterogeneous CNN/SNN Core Architecture and Forward-Gradient-Based Sparsity Generation. [digital][asic]
  • [IEEE-CICC] A 22nm 0.43pJ/SOP Sparsity-Aware In-Memory Neuromorphic Computing System with Hybrid Spiking and Artificial Neural Network and Configurable Topology. [digital][asic][in-memory-computing]
  • [IEEE-ISCAS] 1.7pJ/SOP Neuromorphic Processor with Integrated Partial Sum Routers for In-Network Computing. [digital][asic]
  • [IEEE-JSSC] Neuro-CIM: ADC-Less Neuromorphic Computing-in-Memory Processor With Operation Gating/Stopping and Digital–Analog Networks. [digital][asic][mixed-signal]
  • [IEEE-TCAS-II] Design of Time-Encoded Spiking Neural Networks in 7nm CMOS Technology. [digital][asic]
  • [ArXiv] A tunable and versatile 28nm FD-SOI crossbar output circuit for low power analog SNN inference with eNVM synapses. [mixed-signal][memristive]
  • [IEEE-TBioCAS] A 510μW 0.738-mm2 6.2-pJ/SOP Online Learning Multi-Topology SNN Processor with Unified Computation Engine in 40-nm CMOS. [digital][asic]
  • [IEEE-TCAS-II] A 24.3μJ/Image SNN Accelerator for DVS-Gesture with WS-LOS Dataflow and Sparse Methods. [digital][asic_]
  • [IEEE-ISSCC] 22.6 ANP-I: A 28nm 1.5pJ/SOP Asynchronous Spiking Neural Network Processor Enabling Sub-O.1 μJ/Sample On-Chip Learning for Edge-AI Applications. [digital][asic][async]
  • [IEEE-TCAD] SATA: Sparsity-Aware Training Accelerator for Spiking Neural Networks [digital][asic]
  • [IEEE-COOL-CHIPS] COOL-NPU: Complementary Online Learning Neural Processing Unit with CNN-SNN Heterogeneous Core and Event-driven Backpropagation.
  • [IEEE-TCAS-I] An 82-nW 0.53-pJ/SOP Clock-Free Spiking Neural Network With 40- μ s Latency for AIoT Wake-Up Functions Using a Multilevel-Event-Driven Bionic Architecture and Computing-in-Memory Technique. [async][asic][imc][mixed-signal]
  • [IEEE-AICAS] Neuromorphic analog circuits for robust on-chip always-on learning in spiking neural networks. [asic][mixed-signal][on-chip-learning]
  • [ArXiv] Scaling Limits of Memristor-Based Routers for Asynchronous Neuromorphic Systems. [mixed-signal][memristive]

2022

  • [IEEE-ISSCC] ReckOn: A 28nm Sub-mm2 Task-Agnostic Spiking Recurrent Neural Network Processor Enabling On-Chip Learning over Second-Long Timescales. [digital][asic][open-source][Code]
  • [DATE] SNE: an Energy-Proportional Digital Accelerator for Sparse Event-Based Convolutions. [digital][asic][open-source][Code]
  • [IEEE-TCAS-I] Sparse Compressed Spiking Neural Network Accelerator for Object Detection. [digital][asic]
  • [IEEE-TCAD] Skydiver: A Spiking Neural Network Accelerator Exploiting Spatio-Temporal Workload Balance [digital][fpga]
  • [IEEE/ACM-DAC] SATO: spiking neural network acceleration via temporal-oriented dataflow and architecture [digital][asic]
  • [IEEE-JSSC] An 82nW 0.53pJ/SOP Clock-Free Spiking Neural Network with 40µs Latency for AloT Wake-Up Functions Using Ultimate-Event-Driven Bionic Architecture and Computing-in-Memory Technique. [digital][asic][async][imc]
  • [IEEE-Access] A 18.7 TOPS/W Mixed-Signal Spiking Neural Network Processor With 8-bit Synaptic Weight On-Chip Learning That Operates in the Continuous-Time Domain. [mixed-signal][online-learning][asic]

2021

  • [Frontiers] μBrain: An Event-Driven and Fully Synthesizable Architecture for Spiking Neural Networks. [digital][asic][async]
  • [ArXiv] The SpiNNaker 2 processing element architecture for hybrid digital neuromorphic computing. [digital][asic][async]
  • [IEEE-TCAS-I] A 5.28-mm² 4.5-pJ/SOP Energy-Efficient Spiking Neural Network Hardware With Reconfigurable High Processing Speed Neuron Core and Congestion-Aware Router. [digital][asic]
  • [IEEE-LSSC] IMPULSE: A 65-nm Digital Compute-in-Memory Macro With Fused Weights and Membrane Potential for Spike-Based Sequential Learning Tasks. [digital][asic]
  • [IEEE-TVLSI] Cerebron: A Reconfigurable Architecture for Spatiotemporal Sparse Spiking Neural Networks [digital][fpga]
  • [ACM-TRTS] SyncNN: Evaluating and Accelerating Spiking Neural Networks on FPGAs [digital][fpga][Code]
  • [IEEE-FPL] DeepFire: Acceleration of Convolutional Spiking Neural Network on Modern Field Programmable Gate Arrays [digital-FPGA]
  • [ACM-FPGA] S2N2: A FPGA Accelerator for Streaming Spiking Neural Networks [digital][fpga]
  • [IEEE-TCAS-I] A Fast and Energy-Efficient SNN Processor With Adaptive Clock/Event-Driven Computation Scheme and Online Learning [digital][fpga]

2020

  • [IEEE-A-SSCC] Always-On, Sub-300-nW, Event-Driven Spiking Neural Network based on Spike-Driven Clock-Generation and Clock- and Power-Gating for an Ultra-Low-Power Intelligent Device. [digital][asic][async]
  • [IEEE-JSSC] Tianjic: A unified and scalable chip bridging spike-based and continuous neural computation. [digital][asic]
  • [IEEE-RAW] FPGA Based Emulation Environment for Neuromorphic Architectures. [digital][fpga][Code]
  • [IEEE-A-SSCC] 0.5V 4.8 pJ/SOP 0.93μW Leakage/core Neuromorphic Processor with Asynchronous NoC and Reconfigurable LIF Neuron. [digital][asic]
  • [IEEE-ISSCC] A 74 TMACS/W CMOS-RRAM Neurosynaptic Core with Dynamically Reconfigurable Dataflow and In-situ Transposable Weights for Probabilistic Graphical Models. [mixed-signal]
  • [Springer-JCST] SIES: A Novel Implementation of Spiking Convolutional Neural Network Inference Engine on Field-Programmable Gate Array [digital][fpga]
  • [IEEE/ACM-ISCA] SpinalFlow: An Architecture and Dataflow Tailored for Spiking Neural Networks [digital][asic]
  • [IEEE/ACM-ICCAD] Encoding, model, and architecture: systematic optimization for spiking neural network in FPGAs [digital][fpga]
  • [IEEE-JSSC] NeuroSLAM: A 65-nm 7.25-to-8.79-TOPS/W Mixed-Signal Oscillator-Based SLAM Accelerator for Edge Robotics. [mixed-signal][asic]

2019

  • [IEEE-TBioCAS] A 0.086-mm2 12.7-pJ/SOP 64k-Synapse 256-Neuron Online-Learning Digital Spiking Neuromorphic Processor in 28nm CMOS. [digital][asic][open-source][ODIN][TinyODIN]
  • [IEEE-TBioCAS] MorphIC: A 65-nm 738k-Synapse/mm2 Quad-Core Binary-Weight Digital Neuromorphic Processor With Stochastic Spike-Driven Online Learning. [digital][asic]
  • [IJSSC] A 4096-Neuron 1M-Synapse 3.8-pJ/SOP Spiking Neural Network With On-Chip STDP Learning and Sparse Weights in 10-nm FinFET CMOS. [digital][asic]
  • [IEEE-CICC] A 2048-Neuron Spiking Neural Network Accelerator With Neuro-Inspired Pruning And Asynchronous Network On Chip In 40nm CMOS. [digital][asic]
  • [IEEE-JSSC] A 65-nm Neuromorphic Image Classification Processor With Energy-Efficient Training Through Direct Spike-Only Feedback. [digital][asic]
  • [IEEE-ISVLSI] RRAM-based Spiking Nonvolatile Computing-In-Memory Processing Engine with Precision-Configurable In Situ Nonlinear Activation. [mixed-signal]

2018

  • [IEEE-MICRO] Loihi: A Neuromorphic Manycore Processor with On-Chip Learning. [digital][asic]
  • [IEEE-TBioCAS] A Scalable Multicore Architecture With Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs). [mixed-signal]
  • [IEEE-JPROC] Braindrop: A Mixed-Signal Neuromorphic Architecture With a Dynamical Systems-Based Programming Model. [mixed-signal][Thesis]

2017

  • [IEEE-ISVLSI] A 3.43TOPS/W 48.9pJ/pixel 50.1nJ/classification 512 analog neuron sparse coding neural network with on-chip learning and classification in 40nm CMOS. [mixed-signal]

2015

  • [IEEE-TCAD] TrueNorth: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable Neurosynaptic Chip. [digital][asic][async]
  • [Frontiers] A reconfigurable on-line learning spiking neuromorphic processor comprising 256 neurons and 128K synapses. [mixed-signal][async]
  • [IEEE-ISVLSI] A 640M pixel/s 3.65mW sparse event-driven neuromorphic object recognition processor with on-chip learning.
  • [IEEE-TBioCAS] A Biological-Realtime Neuromorphic System in 28 nm CMOS Using Low-Leakage Switched Capacitor Circuits.

2014

  • [IEEE-BioCAS] A 65k-neuron 73-Mevents/s 22-pJ/event asynchronous micro-pipelined integrate-and-fire array transceiver.[async]
  • [IEEE-JPROC] Neurogrid: A Mixed-Analog-Digital Multichip System for Large-Scale Neural Simulations. [mixed-signal]
  • [IEEE-TVLSI] Minitaur, an Event-Driven FPGA-Based Spiking Network Accelerator. [digital][fpga]

2013

  • [IEEE-MICRO] SpiNNaker: A 1-W 18-Core System-onChip for Massively-Parallel Neural Network Simulation. [digital][asic][async]

2011

  • [IEEE-CICC] A 45nm CMOS neuromorphic chip with a scalable architecture for learning in networks of spiking neurons.

2010

  • [IEEE-ISCAS] A wafer-scale neuromorphic hardware system for large-scale neural modeling. [mixed-signal]

awesome-neuromorphic-hw's People

Contributors

fabrizio-ottati avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.