Giter Site home page Giter Site logo

verilogtools's Introduction

#About# This respository will hold a series of tools for procesing Verilog files, all written in Python.

##vmodule.py## Usage: ./vmodule.py filename.v

This script extracts the module declaration from the Verilog file, outputs the modules name, and a list of input and output ports (and inout ports if present), each item being a tuple of port name, port width, most significant bit index, least significant bit index.

Known Limitations:

  • The script will not process files with more than one module
  • It only understands Verilog-2001 module declarations (with the directions and widths within the brackets)

verilogtools's People

Contributors

sfahmy avatar

Stargazers

Xixi avatar  avatar  avatar  avatar Elías Jabbe @Elias213 (Languages: EN | FR | ES | AR | HTML) avatar lidapang avatar Sean Jensen-Grey avatar  avatar

Watchers

 avatar  avatar

Forkers

manasdas17 nispur

verilogtools's Issues

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.