umarcor / issue-runner Goto Github PK
View Code? Open in Web Editor NEWExecute Minimal Working Examples (MWEs) defined in the body of Markdown files or GitHub issues.
Home Page: https://umarcor.github.io/issue-runner
License: Apache License 2.0
Execute Minimal Working Examples (MWEs) defined in the body of Markdown files or GitHub issues.
Home Page: https://umarcor.github.io/issue-runner
License: Apache License 2.0
Ref LCS page
Allow for vectors to be partially connected in the port map
signal not_so_long_vector : std_logic_vector(15 downto 0);
component Some_Device is
port (
long_port : std_logic_vector(31 downto 0)
);
end component Some_Device;
U1 : Some_Device port map(
long_port(15 downto 0) => not_so_long_vector,
long_port(31 downto 16) => open
);
Ref LCS page
Allow access to system environment variables (baseline)
-- Check a configuration file in the user's home directory
use std.env.all;
use std.textio.all;
impure function GetConfig return boolean is
file config : text;
variable home, data : line;
variable result : boolean;
begin
home := GETENV("HOME");
assert home /= null report "No HOME environment variable";
FILE_OPEN(config,
home.all & DIR_SEPARATOR &
".config" & DIR_SEPARATOR &
"myapp.txt",
read_mode
);
READ_LINE(config, data);
READ(data, result);
FILE_CLOSE(config);
return result;
end function GetConfig;
Ref LCS page
Allow Protected Type Method Parameters to be Access Types, Protected Types (functions), and File Types
not available yet
Ref LCS page
Allow access and protected type parameters on function interfaces
not available yet
This is the body of a test issue
#!/usr/bin/env sh
env
#:file: run
entity ent is
generic (
max : natural);
port (
p : out natural range 1 to max := 3);
end entity;
architecture a of ent is
begin
end;
entity ent2 is
end entity;
architecture a of ent2 is
constant max : natural := 2;
signal p : natural range 1 to max;
begin
inst : entity work.ent
generic map (max => max)
port map (p => p);
end;
ghdl --version
ghdl -a ent.vhd
ghdl -a ent2.vhd
ghdl --elab-run ent2
When testing this (time = NOW) on https://labs.play-with-docker.com, I had to 'downgrade' the script to python2 in order to run. Patch attached.
Full description to start playing:
Ref LCS page
Expose standard conditional analysis identifiers
not available yet
Since a bundler (webpack) is used now, creation of new release branches is easier. Only four files need to be published (README.md
, action.yml
, main.js
, and main.js.map
). Instructions should be updated accordingly. I.e., there is no need to remove items explicitly (git rm -rf *.json *config.js *.lock .github .gitignore .v0 __tests__ src examples cli.sh
); it should be remove everything except 'dist'
.
A declarative, efficient, and flexible JavaScript library for building user interfaces.
๐ Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.
TypeScript is a superset of JavaScript that compiles to clean JavaScript output.
An Open Source Machine Learning Framework for Everyone
The Web framework for perfectionists with deadlines.
A PHP framework for web artisans
Bring data to life with SVG, Canvas and HTML. ๐๐๐
JavaScript (JS) is a lightweight interpreted programming language with first-class functions.
Some thing interesting about web. New door for the world.
A server is a program made to process requests and deliver data to clients.
Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.
Some thing interesting about visualization, use data art
Some thing interesting about game, make everyone happy.
We are working to build community through open source technology. NB: members must have two-factor auth.
Open source projects and samples from Microsoft.
Google โค๏ธ Open Source for everyone.
Alibaba Open Source for everyone
Data-Driven Documents codes.
China tencent open source team.