Giter Site home page Giter Site logo

Comments (1)

ekiwi avatar ekiwi commented on June 20, 2024

The output should output the value in the same cycle of input. So, my assumption is that no io.clock.step() is needed. However, when I did not step the clock, the generated waveform will not reflect the input I drive into the DUT.

If you are changing an input without a step, only the final value before the step will be displayed in the waveform, I think.

Also, the circuit seems working properly even when I did not step the clock(println(c.io.output.tmp_normDist.peek().litValue.toString(16)) works as expected).

That is expected for a combinatorial circuit. Generally we like to encode the expected value like this:

c.io.output.tmp_normDist.expect(0x41200000)

Then the testbench is self checking. Waveform output is mostly for debugging, not for checking correctness.

Even in the generated waveform when I setp the clock, the input seems changes during the falling edge of the clock, which is also a bit wired.

That is something that chiseltest has done since the beginning of time. Essentially inputs that you poke all change on the falling clock edge and registers are updated on the rising edge. The idea behind this is that you might want to distinguish between combinatorial and sequential logic. However, many people - including me - would prefer to just have everything happen on the rising edge. I would love to change that, but don't have the time right now.

from chiseltest.

Related Issues (20)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.