Giter Site home page Giter Site logo

fpga-awesome-list's Introduction

fpga-awesome-list

Полезные ресурсы по тематике FPGA / ПЛИС (useful FPGA resources)
Все желающие могут помочь в составлении списка полезных информационных ресурсов по тематике FPGA / ПЛИС - сайты, youtube каналы, инструменты разработки, книги, отладочные платы и тд. Everyone can help in compiling a list of useful information resources on the subject of FPGA - web sites, youtube channels, development tools, books, debugging boards, and so on.
Добавлять данные в список, предлагать категории и улучшения по юзабилити списка можно через pull request или написав на почту [email protected] или в личном сообщении в телеграм @KeisN13 You can add data to the list, suggest categories and improve the usability of the list via pull request or by writing to the mail [email protected] or in a personal message to telegram @KeisN13

Оглавление -- Content


Телеграм -- Telegram

  • @fpgasystems - чат русскоязычного сообщества FPGA разработчиков
  • @fpgasic - FPG[A]SIC tips and tricks
  • @ipcores - Collection of IP-cores for FPGA & ASIC written on Verilog/VHDL
  • FPGAcommunity - FPGA developers community

Сайты -- Web

  • fpga-systems.ru - русскоязычное сообщество FPGA разработчиков
  • fpga4fun.com - различные проекты на ПЛИС
  • projectf.io - графика на FPGA
  • zipcpu.com - про разработку процессора ZipCPU и др.
  • beyond-circuits.com - блог про FPGA
  • vhdlwhiz.com - блог разработок про VHDL и курсы
  • verificationguide.com - портал компетенций по SystemVerilog и UVM
  • testbench.in - сайт по UVM и OVM
  • Adiuvoengineering - персональный блог Адама Тейлора (Microzed Chronicles)
  • fpga4student.com - портал с проектами на FPGA
  • verificationacademy.com - портал и центр компетенций по верификации FPGA проектов
  • Opencores - Сайт сообщества Opencores, описания этого сообщества есть на русской вики
  • Chisel - Проект chisel3 для написания rtl на языке Scala.
  • SpinalHDL - проект для написания rtl на Scala
  • Asic World - англоязычный сайт про System Verilog
  • chipverify.com - англоязычный сайт про System Verilog
  • FPGA designs with Verilog - руководство по языку Verilog с примерами
  • FPGA designs with VHDL - руководство по языку VHDL с примерами
  • HDLbits - сборник небольших схемотехнических упражнений для практики проектирования цифрового оборудования с использованием Verilog
  • Марсоход - Сообщество, созданное профессиональными разработчиками устройств на базе FPGA и CPLD компании Altera/Intel
  • Sunburst Design - сайт с интересными статьями по Veriog и SystemVerilog, OVM/UVM
  • Knowledge Base Numato Lab - ряд обучающих проектов для конкретных индийских отладок с пошаговым описанием
  • RTL Audio Blog - блог с различным RTL для работы со звуком
  • Muhammed Kocaoğlu blog on Medium - блог инженера разработчика FPGA
  • Makarena Labs - туториалы по Pynq
  • FPGA Tutorial - Learn the Basics of FPGA Design. Explore our free and comprehensive tutorials covering four of the major programming languages which are used in the design and verification of FPGAs.
  • itsembedded - Блог инженера Norbertas Kremeris по проектированию и верификации цифровой логики со статьями об использовании "Verilator and C++ testbenches" и "Vivado Simulator Scripted Flow (Bash, Makefiles)"
  • electgon - Electgon started with the intention of providing knowledge and tools for electronics engineers and entities as a trial of enriching this field.
  • electronix - один из крупнейших русскоязычных форумов по электронике, в т.ч. и ПЛИС
  • VHDL потрал для студентов и разработчиков - справочник по VHDL
  • Learn FPGA easy
  • Tang Nano Project Series
  • 01signal

Группы и сообщества -- Groups and Communities

Инструменты -- Tools

  • Testonica - набор инструментов для тестирования изделий
  • Edaplayground - инструменты для моделирования, все известные симуляторы он-лайн
  • Symbiflow - опенсорс тул для проектирования на FPGA
  • Yosys - опенсорс синтезатор (Open SYnthesis Suite)
  • FloPoCo - FloPoCo предлагает более 50 операторов с фиксированной или плавающей запятой, каждый из которых параметризован по точности и также и по целевой частоте для ПЛИС
  • WaveDrom - Удобное приложение для рисования временных диаграмм.
  • The-OpenROAD-Project - Комплекс программ для проведения логического синтеза и топологии кристалла
  • Digital - Программа для построения и симуляции простых логических схем
  • cocotb - Библиотека косимуляции и проведения тестирования для Python
  • icarus.com - Бесплатный симулятор Verilog
  • GHDL - Бесплатный симулятор VHDL
  • NVC - Еще один компилятор и симулятор для VHDL
  • GTKWave - Программа для просмотра временных диаграмм
  • Corsair - удобнейший генератор карты регистров, rtl, С хедеров и пр.
  • VMAccel - Fully Customizable FPGA Cloud Solutions
  • Tesil — open source ML accelerator framework for FPGAs
  • pyMTL - PyMTL 3 (Mamba) is the latest version of PyMTL, an open-source Python-based hardware generation, simulation, and verification framework with multi-level hardware modeling support.
  • Verissimo - Verissimo SystemVerilog Testbench Linter is a coding guideline and verification methodology compliance checker that enables engineers to perform a thorough audit of their testbenches.
  • DigitalJS - the DigitalJS digital logic simulator and the yosys2digitaljs netlist format converter,

Youtube

Отладочные платы -- Boards and kits

Githubs -- Гитабы

Производители FPGA -- FPGA Vendors

Производители eFPGA -- eFPGA Vendors

fpga-awesome-list's People

Contributors

alexxis avatar fpga-systems avatar idoka avatar ildus avatar petermoroz avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

fpga-awesome-list's Issues

Прошу добавить в список

Добрый день!
Прошу добавить в список литературы

  • Сайт сообщества Opencores https://opencores.org/ описания этого сообщества есть на русской вики https://ru.wikipedia.org/wiki/OpenCores
  • Автоматический генератор кода Vhdl. Название проекта FloPoCo. Репозиторий https://gitlab.inria.fr/fdupont/flopoco . Сайт http://flopoco.gforge.inria.fr/ . Информация на сайте немного устарела и редко обновляется. Актуальное новости проекта можно узнать из репозитория.
    Краткое описание:
    FloPoCo предлагает более 50 операторов с фиксированной или плавающей запятой, каждый из которых параметризован по точности и также и по целевой частоте для ПЛИС. Проект содержет автоматические генераторы кода для арифметики с плавающей запятой, фиксированной точки, позит арифметику. Реализованы генераторы случайных чисел, элементарных функций, некоторых тригонометрических функций, цифровых фильтров БИХ и КИХ, умножение и деление на константы и другие алгоритмы и функции.
  • Проект WaveDrom. Удобное приложение для рисование временных диаграмм. https://wavedrom.com/
  • Немного устаревший проект для генерации кода Spiral (эти генераторы кода написаны достаточно давно). Сайт http://www.spiral.net/ . Есть генераторы для дискретного преобразования фурье, константные умножители и сортировка.
  • Проект chisel3 для написания rtl на языке Scala. Репозиторий https://github.com/chipsalliance/chisel3
  • Еще один проект для написания rtl на Scala. Репозиторий https://github.com/SpinalHDL/SpinalHDL
  • Дизайнер цифровых фильтров написанный на python. Репозиторий https://github.com/chipmuenk/pyfda
  • Комплекс программ для проведения логического синтеза и топологии кристалла (знаю это не совсем для ПЛИС, но для ознакомления построения СНК может быть весьма полезно) Репозиторий https://github.com/The-OpenROAD-Project/OpenROAD-flow-scripts
  • Программа для построения и симуляции простых логических схем. Репозиторий https://github.com/hneemann/Digital
  • Прекрасный сайт на тематику ЦОС на русском языке старый адрес http://www.dsplib.ru/ и новый https://ru.dsplib.org/dspl/index.html . Так же автор ведет разработку библиотеки цос алгоритмов на си https://github.com/Dsplib/libdspl-2.0
  • Библиотека косимуляции и проведения тестирования для Python. Репозиторий https://github.com/cocotb/cocotb
  • англоязычный сайт про System Verilog https://www.asic-world.com/systemverilog/index.html
  • еще один англоязычный сайт про System Verilog https://www.chipverify.com/
  • Бесплатный симулятор Verilog http://iverilog.icarus.com/
  • Бесплатный симулятор VHDL https://github.com/ghdl/ghdl
  • Еще один компилятор и симулятор для VHDL https://github.com/nickg/nvc
  • Программа для просмотра временных диаграмм https://github.com/gtkwave/gtkwave
  • Обязательно стоит упомянуть книгу Цифровая схемотехника и архитектура компьютераАвторы: Дэвид Харрис и Сара Харрис. Она бесплатная в электронном виде распространяется. Почитать про эту книгу можно на хабре https://habr.com/ru/post/306982/ . Скачать ее можно с сайта http://microelectronica.pro/wp-content/uploads/books/digital-design-and-computer-architecture-russian-translation.pdf ( это не совсем официальная ссылка для скачивания. Вот эта официальная но она почему то не работает http://community.imgtec.com/downloads/digital-design-and-computer-architecture-russian-edition-second-edition )

Заранее прошу прощения за стиль изложения=)
Я немного разбавил ЦОСом ссылки, но думаю это неотделимая часть от ПЛИС и СНК.
Надеюсь что в будущем этот список будет расти и мы все вместе будем находить интересные статьи, генераторы кода и алгоритмы)

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.